Xilinx ISE симулятор

V

voho

Guest
Привіт всім,

Я використовую тренажер Xilinx ISE 9.02.04.i:
коли зроблю моделювання показують, чому тільки у хвилі, і з порту на обличчя.
Це можливо для перегляду сигналу devlared в архітектурі ..

Thank's заздалегідь

 
Ви можете спостерігати сигнали, навіть вони були оголошені всередині системи, але не змінних.Щоб побачити зсередини сигнали спочатку необхідно перетягнути їх у вікно lesftside (процесів) в лівій частині вікна моделювання (у відповідності з сигналами портів і імена ви дивилися).

 
Один зі способів, щоб побачити змінних, оголосивши іншого сигналу і передачі значення змінної в неї ... допомагає для грубої імітації, тільки якщо ви хочете побачити змінні значення ...

 

Welcome to EDABoard.com

Sponsor

Back
Top