Verilog Testbench для обличчя VHDL

Y

yasser_shoukry

Guest
Як я можу написати код стенді використанням Verilog для дизайну VHDL? Спасибо заранее
 
[Цитата = yasser_shoukry] Як я можу написати код стенді використанням Verilog для дизайну VHDL? Спасибо заранее [/quote] так само, як би для Verilog ТУ. т. [код] модуль vlog_tb; р CLK = 0; vhdl_dut dut_0 (CLK (CLK).); endmodule [/ код] Справа в тому, це до інструментів для "прив'язки" ІТС (або будь-якого модуля / організації / екземпляр ) або VHDL / Verilog / SystemC і т.д. Звичайно мовах конфігурації конструкції і т.д. Але це для однієї області мови зазвичай. Для наприклад, вище тривіальний дизайн можна змоделювати в VCSMX і MTI наступним чином: [код] vhdlan роботу vhdl_lib vhdl_dut.vhdl vlog_lib vlogan роботу vlog_tb.v VCS-налагодження vlog_tb-R-L run.log [/ код] і з MTI:
Code:
 Vcom роботу vhdl_lib vhdl_dut.vhdl vlog_lib відеоблозі роботу vlog_tb.v VSIM vlog_tb-L run.log [/ код] (НК ncvhdl, ncvlog, ncelab, ncsim команди на той же). Дозвольте мені знати, якщо вам потрібно більше специфічні допомогу. HTH Ajeetha, CVC [url] www.noveldv.com [/url]
 
Велике спасибі aji_vlsi, але як щодо ISE8.1 і ModelSim6.2? Лі вони потребують також деякі додаткові коди, щоб змусити їх працювати? Спасибо заранее
 
[Цитата = yasser_shoukry] Велике спасибі aji_vlsi, але як щодо ISE8.1 і ModelSim6.2? Лі вони потребують також деякі додаткові коди, щоб змусити їх працювати? Спасибо заранее [/quote] ISE - поняття не маю, якщо це симулятор, читайте в Doc, якщо вони підтримують змішаному мовою SIM-карти. ModelSim - Так, я дав усі команди, що ще вам потрібно? До речі, ModelsimXE безкоштовна версія не підтримує змішаний сим мовою. Ajeetha, CVC www.noveldv.com
 

Welcome to EDABoard.com

Sponsor

Back
Top