Y
yasser_shoukry
Guest
Як я можу написати код стенді використанням Verilog для дизайну VHDL? Спасибо заранее
Follow along with the video below to see how to install our site as a web app on your home screen.
Note: This feature may not be available in some browsers.
Vcom роботу vhdl_lib vhdl_dut.vhdl vlog_lib відеоблозі роботу vlog_tb.v VSIM vlog_tb-L run.log [/ код] (НК ncvhdl, ncvlog, ncelab, ncsim команди на той же). Дозвольте мені знати, якщо вам потрібно більше специфічні допомогу. HTH Ajeetha, CVC [url] www.noveldv.com [/url]